Пројектовање дигиталних система

Назив предмета Пројектовање дигиталних система
Шифра предмета Статус предмета Семестар Фонд часова Број ECTS бодова
2287 Обавезан (Е)
Изборни (Т)
VII 2+1+2 6
Наставници  

 

Условљеност другим предметима Облик условљености
Дигитална електроника Према правилима студирања
Циљеви изучавања предмета:
Стицање знања из: (1) Методологије пројектовања дигиталног система. (2) Језика за опис хардвера (HDL). (3) Синтезе и симулације комбинационих и секвенцијалних модула и система примјеном HDL језика. (4) Структуре програмабилних логичких кола. (5) Пројектовања са програмабилним логичким колима. (6) Пројектовања меморија.
Исходи учења (стечена знања):
По успјешно савладаном курсу студент ће бити у стању да: (1) Зна методологије пројектовања. (2) Зна реалне услове који утичу на пројектовање дигиталног система. (3) Пројектује реалне комбинационе и секвенцијалне модуле. (4) Зна алгоритме за анализу и синтезу секвенцијалних аутомата. (5) Зна језик за опис хардвера те да га примјењује у пројектовању дигиталних система. (6) Пројектује са програмабилним логичким модулима.(7) Пројектује меморије.
Садржај предмета:
Логичко пројектовање сложених дигиталних система. Фазе пројектовања и домени описа пројекта (функционални, структурни и физички). Нивои пројектовања – алгоритамски, регистарски (RTL) и логички. Узроци сметњи усљед индуктивне и капацитивне спреге. Слабљење сигнала. Ефекти преносне линије. Линијски појачавачи и кола за растерећење. Логичка кола за филтарање сметњи. Пројектовање реалних комбинационих мрежа (избор логичке фамилије, утицај реалних статичких и динамичких параметара, маргине шума, ограничење с обзиром на брзину и потрошњу, грешке усљед логичког кашњења). Класе секвенцијалних мрежа (аутомати). Секвенцијалне мреже са повратном спрегом. Језик за опис преноса садржаја између регистара – RTL. Језик за опис физичке структуре (VHDL) – ентитети и архитектуре, основи семантике и синтаксе, верификација. Конкурентни искази. Секвенцијални искази. VHDL опис основних комбинационих модула, тростатичких бафера, лечева и флипфлопова. Пројектовање једноставнијих дигиталних система који садржи комбинационе и секвенцијалне модуле. Симулација VHDL кода. Пројектовање са програмабилним логичким модулима (CPLD и FPGA). Технике програмирања CPLD и FPGA. Напредне архитектуре FPGA. Пројектовање меморија. Мреже меморијских модула. Распоред временских сигнала. Асоцијативне и магацинске меморије.
Методе наставе и савладавање градива:
Предавања, аудиторне вјежбе, презентације, симулација на рачунару, тестирање на развојним системима.
Литература:
  1. Бранко Докић, Дигитална електроника, Академска мисао Београд, ЕТФ Бањалука, 2012.
  2. Владимир Ковачевић, Логичко пројектовање рачунарских система I – пројектовање дигиталних система, Факултет техничких наука Нови Сад, 2009.
  3. П. Петковић, М. Милић и Д. Мирковић, VHDL и VHDL-AMS подршка пројектовању електронских кола и система, Електронски факултет Ниш, 2009.
  4. М. Катона, Н. Теслић и В. Ковачевић, Збирка решених задатака из ЛПДС I – пројектовање дигиталних система, Факултет техничких наука, Нови Сад, 2006.
Облици провјере знања и оцјењивање:
 
Први пројектни задатак 20 Други пројектни задатак 20 Завршни испит 45
Лабораторијске вјежбе 15  
Посебна назнака за предмет:
 
Име и презиме наставника који је припремио податке: проф. др Бранко Докић

УНИБЛ

Актуелно

ЈУЛ
2024
  Одбране завршних радова

Линк за праћење јавних одбрана завршних радова

© Електротехнички факултет, Универзитет у Бањој Луци,  1996-2021